In VHDL geschriebene Bibliotheken

GAIA3

GAIA-Prozessor.
  • 24

RedPitaya_Acquisition

Verwandeln Sie die Rote Pitaya in eine Erwerbskarte.
  • 21

spi-to-axi-bridge

Eine SPI-zu-AXI4-lite-Brücke für die einfache Anbindung von AirHDL-Registerbänken an jeden Mikrocontroller.
  • 21
  • Apache License 2.0

mrisc32-a1

Eine Pipeline-In-Order-Skalar-VHDL-Implementierung der MRISC32-ISA.
  • 20

VHDL-Guide

VHDL-Leitfaden.
  • 20

neorv32-riscof

✔️Port von RISCOF zur Überprüfung der RISC-V ISA-Kompatibilität des NEORV32-Prozessors.
  • 19
  • BSD 3-clause "New" or "Revised"

wb_spi_bridge

🌉 Eine transparente Wishbone-zu-SPI-Brücke, die Execute-In-Place (XIP) unterstützt.
  • 19
  • BSD 3-clause "New" or "Revised"

simple-riscv

Eine einfache dreistufige RISC-V-CPU.
  • 19
  • MIT

karabas-128

Karabas-128. ZX Spectrum 128k-Klon, basierend auf CPLD Altera EPM7128STC100.
  • 18
  • Do What The F*ck You Want To Public

kvm-ip-zynq

KVM-over-IP-Gateway für Zynq-7000 SoC.
  • 17
  • MIT

j-core-ice40

J-Core-SOC für ice40 FPGA.
  • 17

fpu-sp

IEEE 754-Gleitkommabibliothek in System-Verilog und VHDL.
  • 17
  • Apache License 2.0

pocket-cnn

CNN-zu-FPGA-Framework für kleines CNN, geschrieben in VHDL und Python.
  • 16
  • Mozilla Public License 2.0

Flo-Posit

Mit FloPoCo generierte Posit-Arithmetikkerne.
  • 14
  • GNU General Public License v3.0 only

vhdl-axis-uart

In VHDL geschriebene UART-zu-AXI-Stream-Schnittstelle.
  • 14
  • MIT

Brutzelkarte_FPGA

Der Brutzelkarte-FPGA-Beschreibungscode in VHDL.
  • 13
  • GNU General Public License v3.0 only

vunit_action

VUnit GitHub-Aktion.
  • 13
  • MIT

rv16poc

16-Bit-RISC-V-Konzeptnachweis.
  • 13
  • Apache License 2.0

hVHDL_example_project

Ein Beispielprojekt, das viele Ideen und Funktionen der hVHDL-Bibliotheken wie Fest- und Gleitkomma-Mathematikmodule nutzt und Build-Skripte für die meisten gängigen FPGAs enthält.
  • 12

ORCA-risc-v

RISC-V von VectorBlox.
  • 12
  • GNU General Public License v3.0

riscv-debug-dtm

🐛 JTAG-Debug-Transportmodul (DTM) – kompatibel mit der RISC-V-Debug-Spezifikation.
  • 12
  • BSD 3-clause "New" or "Revised"

apple2fpga

Portierung von Stephen A. Edwards apple2fpga auf ULX3S.
  • 12

hVHDL_fixed_point

VHDL-Bibliothek mit synthetisierbaren mathematischen Funktionen auf hoher Abstraktionsebene für Multiplikations-, Divisions- und Sin/Cos-Funktionalitäten sowie ABC-zu-Dq-Transformationen.
  • 10
  • MIT

neorv32-examples

Einige neorv32-Beispiele für Intel FPGA-Boards mit Quartus II und SEGGER Embedded Studio für RISC-V.
  • 9

pico-png

PNG-Encoder, implementiert in VHDL.
  • 9
  • Mozilla Public License 2.0

hVHDL_floating_point

High-Level-VHDL-Gleitkommabibliothek für die Synthese in FPGA.
  • 9
  • MIT

Image-Generator-for-FPGA-Evaluation-Board

Entwurf eines Bildgenerators zur Darstellung einer Straßenszene. Kann als eigenständiges Design für einen Bildgenerator oder als Testmustergenerator für eine Spurerkennungsschaltung verwendet werden.
  • 7
  • GNU General Public License v3.0

FPGA-FIR-Filter

Vortrag über FIR-Filter auf einem FPGA.
  • 7
  • GNU General Public License v3.0

jcore-j1-ghdl

Ein einfaches Design für iCE40 up5k mit GHDL + Yosys.
  • 7